Home Forums GENERAL Optical AND gate using NLF

Viewing 2 reply threads
  • Author
    Posts
    • #49160
      Rifat Nazneen
      Participant

      Hello Everyone,
      Hope all of you are doing well. I want to design an optical AND logic gate using Optisystem. But I am facing some problem to get the desire output. At the input side when I provided 0 at the two input the output is like 01 but it should be 0 and at 01 combination it gives me same response as 00 combination but in drop pulse manner. But at 11 combination I got the desired output. Please help me out where has the problem in the circuit or which component I can use to get desire output?.

    • #49169

      Hi,
      I am not sure if your design, but there is an example titled
      “Reconfigurable All-optical Multi-Logic Gate Based on XPM in HNLF”
      that
      I think it is a good point for comparison or even use it as a start point for your ultimate design.

      Hope that helps,
      Mohamed

    • #49227
      Rifat Nazneen
      Participant

      Thank you Mohamed for you helpful response. After checking your paper I used Band pass filter. I got different response but still at 01 combination there is an impulse response. Would you please suggest me how can I remove that pulse? I attached the response here.

Viewing 2 reply threads
  • You must be logged in to reply to this topic.